打开 .vcd 文件时出错。没有这样的文件或目录

问题描述 投票:0回答:1

我的 Verilog 代码存储在

C:\FA
中。一共有三个文件:

FA.v, fa.vvp, TM_FA.v

我按照书上的步骤操作。

  1. iverilog -o fa.vvp
  2. vvp fa.vvp
  3. 完成
  4. getwave fa.vcd &

当我用

getwave fa.vcd &
来模拟它时,然后显示:

Error opening  .vcd file 'fa.vcd'.
Why: No such file or directory

我首先使用Icarus和GTKwave,然后我不知道如何修复它。

verilog iverilog gtkwave
1个回答
1
投票

您需要在 Verilog 测试平台中添加代码以明确告诉

iverilog
创建 VCD 文件。 iverilog 文档指出

// Do this in your test bench

initial
 begin
    $dumpfile("test.vcd");
    $dumpvars(0,test);
 end
© www.soinside.com 2019 - 2024. All rights reserved.