使用ng2-simple-timer以角度创建秒表

问题描述 投票:0回答:1

G'day人,

所以我使用ng2-Simple-timer和我的angular 5 app。我不知道如何用angular 5来记录时间,所以我选择了ng2-Simple-Timer。我试图以毫秒记录时间。有一个关于plunker的例子。如果我将1秒值更改为0.001。我得到了一些奇怪的价值。我认为这是因为plunker每半秒刷新一次,所以我在我的机器上测试它但是,它仍然没有用。

plunker http://embed.plnkr.co/HaTd8q/

npm https://www.npmjs.com/package/ng2-simple-timer#example模块

基本上我只想在每次按下输入时记录时间,以毫秒为单位。

请指教。

angular angular5
1个回答
0
投票

测量时间的最简单方法是使用js Date类。请参考下一个例子:

var date = new Date(); // Generate a timestamp when you need to start countdown
... do some calculation ...
console.log(new Date().getTime() - date.getTime()); // When you need, subtract saved date from new one

你将收到毫秒的时间。

© www.soinside.com 2019 - 2024. All rights reserved.