莱迪思 ECP5 FPGA 的 Yosys/nextpnr 时序报告?

问题描述 投票:0回答:1

我有一个使用以下命令构建的 ECP5 项目。我如何获得它的时序报告(例如该设计的最大时钟速度)?

yosys -p "synth_ecp5 -top Test -json hardware.json" -q Blinky.v
nextpnr-ecp5 --25k --package CABGA256 --json hardware.json --textcfg hardware.config --lpf pinout.lpf -q --timing-allow-fail --force
ecppack --compress --db /Users/user/.apio/packages/tools-oss-cad-suite/share/trellis/database hardware.config hardware.bit

对于使用 ICE40 FPGA 的类似项目,我使用以下命令:

yosys -p "synth_ice40 -top main -json hardware.json" -q main.v
nextpnr-ice40 --hx8k --package tq144:4k --json hardware.json --asc hardware.asc --pcf main.pcf -q
icetime -d hx8k -P tq144:4k -C "/Users/user/.apio/packages/tools-oss-cad-suite/share/icebox/chipdb-8k.txt" -mtr hardware.rpt hardware.asc


// Reading input .asc file..
// Reading 8k chipdb file..
// Creating timing netlist..
// Timing estimate: 8.66 ns (115.52 MHz)
fpga yosys icestorm nextpnr
1个回答
0
投票

向 nextpnr 命令行添加

--report <file>
标志会使其生成具有最大时钟频率的 json 文件。当以详细模式运行时,此信息也可以在 nextpnr 输出中找到。

© www.soinside.com 2019 - 2024. All rights reserved.