fifo 相关问题

First对象In中的任何结构是First对象Out。同义词包括队列和管道。

错误:mi_fifo:mi_fifo_check:安全:fifo_check:inode/dev编号不同:(/tmp/opensips_fifo)

我是opensips的新手,几天前已经安装了它。我有它可以打电话。但我面临 mi_fifo 模块的问题。它给出以下错误 错误:mi_fifo:mi_create_fi...

回答 2 投票 0

fifo linux - write() 函数突然终止程序

我正在用 C 实现一个管道,其中多个生产者程序(在我的例子中为 9 个)将数据写入一个消费者程序。 问题是一些生产者(有时是一两个)退出了该计划

回答 3 投票 0

如何使用Python中的命名管道控制的Mplayer前进到播放列表中的下一首歌曲

我有一个 python 脚本,它控制(通过命名管道)处于从模式下播放 MP3 的 mplayer 实例。一切都按预期进行,但我一生都无法弄清楚如何改进......

回答 1 投票 0

select() 超时后不返回

我创建了一个名为“readmsg”的可执行文件。其源代码如下。如果我只在 shell 中执行 readmsg,则 select() 可以工作(我可以看到超时的输出)。 但是如果我通过

回答 1 投票 0

使用select()连续读取FIFO

当我的主程序在无限循环中运行时,我试图在后台读取 FIFO(使用线程)。我想使用 select() 因为否则处理器会以 100% 的速度运行,但是

回答 2 投票 0

C 使用 select() 从两个命名管道 (FIFO) 读取

我目前正在尝试用 C 语言编写一个程序,该程序将从两个命名管道读取数据,并在可用时将任何数据打印到标准输出。 例如:如果我打开两个终端并 ./execute pipeline1 p...

回答 1 投票 0

读取 FIFO 文件时避免 100% cpu

我需要读取 FIFO 文件,因为它到达 FIFO 文件中的 snmp 陷阱,我需要按顺序读取和处理它们。所以我创建了一个 while (true) 循环来开始读取 FIFO 文件行...

回答 1 投票 0

Ring FIFO 模拟中未定义的输出

我一直在为 SystemVerilog 开发 FIFO。第一次模拟结果很好。然而,在扩展模拟以尝试将其达到极限并考虑极端情况时,我有

回答 1 投票 0

Bash 脚本中的持久连接

我正在尝试使用 bash 创建持久连接。在终端 1 上,我保持一个 netcat 作为服务器运行: $ NC -vlkp 3000 监听 [0.0.0.0](系列 0,端口 3000) 在终端 2 上,我创建了一个...

回答 2 投票 0

ldap 389ds - 日志记录 - cat <> stdout-fifo-pipe-file > /dev/stdout - 没有审核记录 0 字节文件

我有一个在引擎盖下运行 389ds 容器的 ldap(舵图)。 我注意到图表中有一个文件夹:docker-entrypoint-init.d/,其中包含一堆编号的 shell 脚本。示例...

回答 1 投票 0

使用 SQL 的后进先出 (LIFO) 事务行配对

我有一个事务表,我试图根据 LIFO 将流出映射到流入。 输入数据集 ID 日期 类型 数量 1 2024年1月26日 流入量 519 2 2024年1月26日 外流 100 3 2024年1月26日 流出...

回答 1 投票 0

FIFO 中的时序收敛问题

我在 Verilog 中有一个基于这篇文章的 FIFO 实现:http://www.sunburst-design.com/papers/CummingsSNUG2002SJ_FIFO1.pdf 将此 FIFO 用作 CDC FIFO 时,读取侧...

回答 1 投票 0

管理在非易失性闪存 NOR 存储器中实现的 FIFO

我想在外部NOR闪存中实现循环FIFO。实现 FIFO 本身不是问题,通过使其循环,我确保不会总是在同一扇区上写入/擦除。

回答 1 投票 0

如何按顺序处理事件,但 1 名员工只有 1 个活动进程?

我正在研究一个用例,其中我们有多个来自上游的事件,并且我们可能会有 1 名员工的多个事件。但我们要确保只有 1 个...

回答 1 投票 0

计算基于先进先出的多票据损益

我正在努力处理我的 vba 代码,该代码计算股票交易中的已实现和未实现利润。我相信这个实用程序将对所有买不起昂贵灵魂的小商人有很大帮助......

回答 1 投票 0

为什么我的 JMETER 案例输出不像我定义的那么严格?

我有一个jmeter项目,有1个线程,X轮循环。初始queue_username值在jp@gc - 线程间通信后处理器区域中设置为:“token-user”。 在每个

回答 1 投票 0

Linux 程序能够从终端下的 STDOUT 读取

看起来Linux下可以从文件描述符1读取。 我在下面附上了示例代码。 我可以使用键盘与它交互并提供有效的密码。 问题是,我无法...

回答 2 投票 0

SQS 消息至少传递一次的百分比是多少?

我知道标准SQS使用“至少一次”传递,而FIFO消息只传递一次。 SQS 消息的重复百分比(大约)是多少?这看起来像是...

回答 3 投票 0

在sql中实现FIFO(先进先出)

我有一张库存表 ID 数量 购买日期 价格 11202 4 01/01/2023 3 11202 3 12/06/2023 7 5050 4 11/10/2023 60 11202 4 12/10/2023 5 5050 8 12/12/2023 70 和商品销售表 ID 数量 11202...

回答 1 投票 0

uart fifo 需要时钟域交叉和格雷码吗?

如果 UART 模块有单个时钟输入(称为 axi_aclk),则波特率时钟是由 axi_aclk 根据除数寄存器(DLL 和 DLM)生成的。 我们来看看 xmit fifo 的时钟...

回答 1 投票 0

© www.soinside.com 2019 - 2024. All rights reserved.