有关Quartus的问题,这是Altera / Intel开发的一款软件工具,用于协助HDL设计的设计,分析和综合,包括FPGA和CPLD。
QuestaSim 新手:它似乎跳过了我的初始块的主体,有什么想法吗?
我正在尝试使用 QuestaSim(直接从 Quartus 运行)来运行测试平台,相关部分概述如下。 `时间尺度 1 ns / 10 ps 模块 ASS1_T3_tb(); 参数 NumBits = 8; int fd;...
我正在使用 Quartus 开发 Altera 的 DE12-115 微处理器。为了使用内置 VGA 连接将 BMP 图像显示到显示器上,我必须首先将 BMP 图像转换为 i...
错误 (10170):Verilog HDL 语法错误 (59) 靠近文本:“posedge”;期待一个操作数
我在第 59 行遇到错误。我尝试用 Google 搜索,但找不到任何内容这是我的代码: 总是@(kedge clk 或 negedge nReset) 开始 if (min_start_in == 1'b1) 开始 数...
我是 Verilog 及其工具的新手,我正在 Quartus prime 中进行模拟/编译。在这段特定的代码中,它显示了一个错误,该错误应该是该语言的语法。 代码 : 莫...
我在这里为我的作业设计了一个单元, 模块作业1(a, b, sel, y); 输入有符号[7:0] a, b; 输入[1:0]选择; 输出 reg 有符号 [7:0] y; 总是@(a或b或sel)开始 案例(选择) ...
当我尝试模拟时,为什么 Modelsim 显示“错误:MIF 包含非法字符”?
我目前正在使用 Quartus 20.1 和 Modelsim 2020.1 在 VHDL 中设计基于 MIPS 的处理器。 我创建了一个 MIF 文件(希望)计算给定数字的 GCD。我的 MIF 文件包含...
我设计了一个RAM模块,我需要这个模块的多个实例,每个实例都有不同的内存初始化文件。 Quartus手册说Quartus支持$readmemh()函数
这个简单的测试会在使用 modelsim 编译时导致错误,而 Quartus 可以完成整个综合/拟合过程。 IEEE 图书馆; 使用 ieee.std_logic_1164.all; 实体子模块...
我收到来自 Quartus 的消息,它发现了同步器链,但无法对其执行 MTBF 分析。然而,除了如何识别同步器之外,手册中没有真正解释任何内容......
我不断收到错误“Case 语句必须涵盖表达式的所有可能值”。我该如何解决这个问题?
simon 游戏的 vhdl 代码第一部分 西蒙游戏的vhdl代码第二部分 西蒙游戏的vhdl代码第三部分 我得到第 35 行的 case 语句必须涵盖所有可能的表达式值,&...
我有一个带有 D_IN_VAL std_logic_vector(n-1 downto 0) 输入端口和内部信号 CUR_MAX_S : std_logic_vector(n-1 downto 0) 的模块。我希望仅使用部分 D_IN_VAL 数据(例如 4 位...
我有这个 FSM,可以控制我正在构建的游戏中的不同事件(在 Quartus Prime Lite Edition 17.0 中)。 当我尝试编译它时,出现以下错误: 错误(10166):SystemVerilog RTL 编码错误...
如何修复 libXft.so.2:在 Pop_OS 20.04 上运行的 Quartus 20.1 中模拟硬件时无法打开共享对象文件
我最近迁移到 Linux 并正在习惯该操作系统,我设法安装并运行 Quartus 20.1 Lite,并且我正在使用一个旧的工作项目对其进行测试。当我打开波形并运行时...
我正在尝试在 Quartus 中编写一个 VHDL 代码,当我按下演示板上的按钮 1 时,它会打开蜂鸣器,而当我按下按钮 2 时,它会关闭蜂鸣器。但是,它对按钮 2 没有反应。它编译时没有呃...
我正在尝试在 Quartus 中编写一个 VHDL 代码,当我按下 demobord 上的按钮 1 时,它会打开蜂鸣器,而当我按下按钮 2 时,它会关闭蜂鸣器。但它对按钮 2 没有反应。它编译没有错误,...
我正在尝试为名为 Processor 的模块编写一个测试平台 测试台中的这一行用于实例化 我的处理器仅将时钟和复位作为输入 //处理器模块的实例化 处理...
我已经在 Quartus 中制作了计数器作为原理图文件。然后从该方案生成 Verilog 设计文件。我能够在 Modelsim 中配置和运行仿真,但计数器 (Q0..Q3) 的输出始终...
我已经在 Quartus 中制作了计数器作为原理图文件。然后从该方案生成 Verilog 设计文件。我能够在 Modelsim 中配置和运行仿真,但计数器 (Q0..Q3) 的输出始终...
我正在使用开源的pulp_platform_common_cells,它已为Xilinx FPGA 实现,我想对其进行转换,以便它也可以在Quartus 中运行。在 Vivado 中,该项目综合得很好,但在
libpng12.so.0:无法打开共享对象文件:错误的 ELF 类:ELFCLASS64
我正在尝试在 docker 容器上安装 Quartus 13.0,但 libpng12 lib 遇到问题。 builder@580847ded665:~$ ls -ld $(locate -r libpng.*\.so.*) lrwxrwxrwx。 1 根 1 月 6 日 18 ...